[an error occurred while processing this directive]
Примерно так
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Pashka 20 мая 2003 г. 09:32
В ответ на: Помогите начинающему - как в VHDL преобразовать тип INTEGER в STD_LOGIC_VECTOR ? отправлено Uuftc 19 мая 2003 г. 23:05

out_data<=CONV_STD_LOGIC_VECTOR(Int_value,vector_length);

Int_value - переменная integer, которую надо преобразовать
vector_length- параметр integer, длина вектора

Функция описана в ieee.std_logic_arith (кажется).


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru