[an error occurred while processing this directive]
"HDL Chip Design: A Practical...." by Douglas J. Smith (Это не ссылка где взять)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 21 мая 2003 г. 12:53
В ответ на: Ответ: Библиотеки обычные (logic_1164,logic_arith), а про знак можно поподробнее отправлено Home_Inc 21 мая 2003 г. 12:04

Специально указал книгу теме. Это напоминание всем. Её надо обязательно надыбать. Внимательно изучить (наизусть). Держать в Красном углу. Класть под голову вместо подушки. ...
Это не учебник по языку. Это библия как чё класть на железо.
(И отпадёт вопрос что лучше Verilog или VHDL.) (В электронном виде не видел нигде. Сам хочу)
Собственно по теме. Если константу (например) B"110" типа std_logic_vector преобразовать в signed, однозначно будет -2, а не 6. Если теперь увеличить разрядность, этот "-" и расширится до MSB. Помоему ощибка в этом направлении.
Распиши свою большую сумму по действиям. Введи доп. сигналы. Явно опиши тип каждого.
Я пользуюсь
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
Изменение разрядности приходится делать явно, ихними функциями.
Библиотеки - дело вкуса. Главное функции разных библиотек не сваливать в кучу.
Pascal своими типами достаёт, но он школа хорошая.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru