[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено МИФИст 09 июня 2003 г. 14:47
В ответ на: На вопрос 1 ответа не дам - не знаю. На вопрос 2 - уберите атрибут "read only" у файла modelsim.ini. отправлено Pashka 09 июня 2003 г. 10:34

На этом файле стояла только галочка архивный, я её убрал, не помогло =(

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru