[an error occurred while processing this directive]
Не очень понял чё требуется, но вот на VHDL текстик (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Dr.Alex 09 июня 2003 г. 16:02
В ответ на: Например там -->, но тяжко тебе будет с нуля-то, погоришь.. У вас базовый HDL-то какой в вашей шараге? отправлено Dr.Alex 09 июня 2003 г. 15:44


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity maximum is
Port
(
value : in integer range 0 to 65535;
max_value : out integer range 0 to 65535;

clk : in std_logic;
reset : in std_logic
);
end maximum;

architecture Behavioral of maximum is

signal max_val : integer range 0 to 65535;

begin

find_max: process
begin

wait until clk'event and clk='1';

if reset='1'
then
max_val <= 0;
max_value <= 0;
else
max_value <= max_val;
if value > max_val then max_val <= value;
end if;
end if;

end process;

end Behavioral;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru