[an error occurred while processing this directive]
или как такую описать конструкцию (усли можно)? штоб заработала
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 01 июля 2003 г. 15:53
В ответ на: вопрос к знатокам VHDL: можно ли в "агрегатах" std_logic_vector использовать range отправлено yes 01 июля 2003 г. 15:38

ну не люблю я писать много...

signal LMX_OUT : std_logic_vector(21 downto 0); -- spi regs
signal SI_OUT : std_logic_vector(21 downto 0); -- spi regs
signal RF_ADDR : std_logic_vector(8 downto 0); -- R/W AI addr(6:0)
signal RF_OUT : std_logic_vector(7 downto 0); -- spi regs
signal RF_IN : std_logic_vector(7 downto 0); -- spi regs

--------

process (CLK, RST)
begin
if RST = '1' then
(LMX_OUT,SI_OUT,RF_ADDR,RF_OUT,DATA_OUT) <= (others=>'0');

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru