[an error occurred while processing this directive]
Вот уж ни разу... (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 01 июля 2003 г. 17:47
В ответ на: ну контактенацией понятно... хотелось бы иметь изменяемый размер и не править исходники отправлено yes 01 июля 2003 г. 17:40


use IEEE.std_logic_arith.ext;

data <= ext(static_out, Data'length);

B ничего переписывать не надо. Все меняется само при изменении длин векторов.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru