[an error occurred while processing this directive]
а вот так заработает?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 01 июля 2003 г. 21:14
В ответ на: вопрос к знатокам VHDL: можно ли в "агрегатах" std_logic_vector использовать range отправлено yes 01 июля 2003 г. 15:38

DATA <= (4 downto 0=>STATIC_OUT, others => '0') when OE='1' else (others=>'Z');

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru