[an error occurred while processing this directive]
Ответ: тугой я сегодня - так и не понял
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено jm 15 июля 2003 г. 15:22
В ответ на: Ха-ха. Что бы в ручную перебирать биты, на VHDL переползать не обязательно :) отправлено cms 15 июля 2003 г. 14:46

если надо параметризированный сдвиг - например:

sl (l: std_logic_vector; r: integer) return std_logic_vector;
..
For all shifter functions that follow, the shift amount (r) could either be a compile time constant or not. If it is, the synthesized circuit will only consist of a re-ordering of the wires in the array.
Otherwise, LeonardoSpectrum will synthesize a shifter circuit.

а что до интерпритации loopов- так это аналог generatов в последовательных выражениях (см. описание от синопсис/ментор) и их альтернативная интерпритация не есть недостаток языка.

по синтезаторам- они четко указывают на синтезируемое поддерживаемое подмножество, приводят примеры....

по битам - согласен: при написании кода биты в голове представлять надо. и оно не мудрено - ведь это H(ardware)Dl. посему универсальность конструкций ограничивается возможностью аппартной реазилации соответсвующей конструкции.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru