[an error occurred while processing this directive]
Пожалуйста, пример:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Игорь 17 июля 2003 г. 14:27
В ответ на: Я пользуюсь FPGA Adv, и проблем с праметризацией пока нет. Можешь привести пример? отправлено DmitriP 16 июля 2003 г. 19:50

Я создаю схему (либо в тексте, либо графически), которая содержит счетчик lpm_counter из альтеровской библиотеки lpm. Моделирование идет без ошибок. Запускаю Synthesis Flow (с Leonardo Spectrum). Зпускается синтезатор и пишет ошибку:
"Error, lpm_WIDTH does not have an actual or default value."
Пробую вручную заново загрузить все файлы в синтезатор, запускаю "Run Flow". Пишет ошибку:
"Error, input port/net data(7) of instance l0 of cell MUX has no driver."
Собственноручно написанные модули работают, но воспользоваться библиотечными не получается. Вот и не знаю что делать, не переписывать же нужные модули вручную, это неудобно, ди не эффективно. В общем, жизнь испорчена. В MaxPlus хоть и с ограничениями, но все работает, но годится он только для маленьких схем и с коротким жизненным циклом разработки.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru