[an error occurred while processing this directive]
|
Следующий пример у меня в Leonardo компилируется:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY LPM;
use LPM.LPM_COMPONENTS.ALL;
ENTITY tst_lpm IS
port(
rst : in std_logic;
clk : in std_logic;
en : in std_logic;
dout : out std_logic_vector(7 downto 0)
);
END tst_lpm ;
ARCHITECTURE behave OF tst_lpm IS
BEGIN
count_l : LPM_COUNTER
generic map(LPM_WIDTH => 8)
port map(clock => clk, aclr => rst, cnt_en => en, q => dout);
END behave;
E-mail: info@telesys.ru