[an error occurred while processing this directive]
У Leonardo есть своя библиотека LPM(.\EXEMPLAR\LIB\lpm_components.vhd).
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено DmitriP 17 июля 2003 г. 16:10
В ответ на: Пожалуйста, пример: отправлено Игорь 17 июля 2003 г. 14:27

Следующий пример у меня в Leonardo компилируется:

LIBRARY ieee;
USE ieee.std_logic_1164.all;

LIBRARY LPM;
use LPM.LPM_COMPONENTS.ALL;

ENTITY tst_lpm IS
port(
rst : in std_logic;
clk : in std_logic;
en : in std_logic;
dout : out std_logic_vector(7 downto 0)
);
END tst_lpm ;

ARCHITECTURE behave OF tst_lpm IS
BEGIN
count_l : LPM_COUNTER
generic map(LPM_WIDTH => 8)
port map(clock => clk, aclr => rst, cnt_en => en, q => dout);
END behave;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru