[an error occurred while processing this directive]
Ситуация немного прояснилась, но кое-что осталось (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Игорь 17 июля 2003 г. 20:10
В ответ на: У Leonardo есть своя библиотека LPM(.\EXEMPLAR\LIB\lpm_components.vhd). отправлено DmitriP 17 июля 2003 г. 16:10

Большое спасибо, Ваш пример у меня работает. Поэксперементировав, я понял, что ошибка у меня была из-за строки указания конфигурации:
"for all : lpm_counter use entity lpm.lpm_counter;"
Если ее убрать, то все проходит нормально. Но беда в том что, если схема описывается графически (Block Diagram), то эту строку не убрать. Я пробовал в установках ковыряться, но ни что не помогло.
Я добился полного совпадения текстового описания с сгенерированным по диаграмме блоков. Первое работает, второе нет. Причем во втором случае ошибка выдается при компиляции файла lpm_counter_lpm_syn, которого в первом случае вообще нет.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru