[an error occurred while processing this directive]
Определена в ieee.std_logic_unsigned и в ieee.std_logic_signed
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 18 июля 2003 г. 10:31
В ответ на: Если есть библиотека, в которой определена опреация умножения для STD_LOGIC_VECTOR, то да. отправлено DmitriP 17 июля 2003 г. 11:52


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru