[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 22 июля 2003 г. 10:22
В ответ на: ~~~Счетчики с произвольным мод. счета на AHDL~~~ отправлено porcupine 22 июля 2003 г. 05:25


SUBDESIGN my_counter
(
clk, load, clr, d[3..0] : INPUT;
q[3..0] : OUTPUT;
)
VARIABLE
count[3..0] : DFF;
BEGIN
count[].clk = clk;
count[].clrn = clr;

IF load THEN
count[] = d[];
ELSE
IF (count[]==8) THEN
count[]=0;
ELSE
count[]=count[]+1;
END IF;
END IF;

q[] = count[];
END;

А так не делают - это асинхронщина. Докучи конфликтует со строчкой в начале - где clrn уже подключен.


IF q[3] == 1 & q[0] == 1 THEN
count[].clrn = !clr;
END IF;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru