[an error occurred while processing this directive]
Немного не согласен. IF ... GENERATE работает только в теле проекта на уровне структурных элементов. А вот, например, есть два набора констант и я хочу чтобы задавая параметр мог бы выбирать, который из этих наьоров будет подставляться при компиляции
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено cms 06 августа 2003 г. 12:34
В ответ на: А #define #ifdef в AHDL хорошо меняется на IF GENERATE отправлено SM 06 августа 2003 г. 11:16


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru