[an error occurred while processing this directive]
Еще один вопрос. Verilog-препроцессор в Synplify
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Навзничь 07 августа 2003 г. 11:52

конструкции

`ifdef ...
...
`else
...
`endif

вызвали у синтезатора бурю эмоций. (LS компилирует это дело на ура).
Есть ли возможность Synplify делать условный синтез? Версия 7.11

Спасибо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru