[an error occurred while processing this directive]
в чем кривость?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Postoroniy_V 03 сентября 2003 г. 20:14

использую связку AHDL 6.1 - Leo Spectrum - Max PLus.
пытаюсь промоделировать пост-синтез не получается по причине
"не могу найти LPM_COUNTER"
пытаюсь промоделировать пост-имплемент не получается по причине
"не могу найти _ещё кучу всего_ ля-ля"
1 Я должен видимо подключить библиотеки, но тогда не понятно ведь есть уже глобальные со всякими LPM_COUNTER?
2 но если просто откомпилить LPM.V в постсинтез ругани меньше. А это решение разве правильно? ведь есть LPM.LIB??



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru