[an error occurred while processing this directive]
«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Кто-нибудь зает, синтезируем ли SystemC, или это исключительно библиотека для моделирования?
—
Oldring
(04.09.2003 17:21,
пустое
)
Ответ: SystemC синтезируем!!!
—
Добрая Бяка
(08.10.2003 14:19, 654 байт)
да, но только конструкции, эквивалентные тем, что синтезируемы и в других языках.
—
bioLOG
(12.09.2003 15:11, 40 байт)
за дэнги
—
yes
(05.09.2003 15:25, 109 байт,
ссылка
)
Да в том-то и дело, что я и не представляю, как :)
—
Oldring
(06.09.2003 14:19, 399 байт)
да, наверно, так и есть
—
yes
(09.09.2003 17:42, 23 байт)
Hanlec-C. cинтезирует точно.
—
KA
(04.09.2003 17:56,
пустое
)
ну у Хенделя плюсов перед верилогом вроде нет (тока вел-кноун С синтаксис)
—
yes
(05.09.2003 15:27, 35 байт)
то есть на нем либо описываешь хардваре либо софтваре, а переносить код из одного в другое требует рук
—
yes
(05.09.2003 15:32, 66 байт)
А есть где-нибудь спецификация синтезируемых конструкций? Не верю, что полные плюсы умеют синтезировать в железо.
—
Oldring
(04.09.2003 18:13,
пустое
)
VHDL не подскажете
—
SAA
(04.09.2003 16:40, 160 байт)
Ответ: (Others=>'0') обозначает все биты приравнять '0'
—
_aquarius_
(04.09.2003 17:00,
пустое
)
Вопрос по использованию ячеек lcell в ACEX на VHDL (+)
—
_aquarius_
(04.09.2003 16:15, 541 байт)
При установке SP1 к ActivHDl 6.1 появляются глюки в работе симулятора
—
RobDSP
(04.09.2003 15:30, 279 байт)
Мистер net, где же обещаный вами CELOXICA HANDEL-C. Али забыли уже, али мастер не в ту дверь вошел?:)
—
Slavko
(04.09.2003 13:48,
пустое
)
файл положил - а вот мастер - даже сотовые заблокирован - но я надеюсь на него - кстати положил и mentor precision 2003a.33 с лекарством туда же все работает - ждем мастера;-(
—
net
(04.09.2003 13:56,
пустое
)
Семинар "Новая продукция TI и Xilinx"
—
КБ Автоматики
(04.09.2003 06:59, 1431 байт,
ссылка
)
прошу конвертировать файл из ОРКАДА
—
DEE
(03.09.2003 22:18, 108 байт)
что значит общеизвестном? я других не знаю ;-) задачу поясни конкретно
—
net
(04.09.2003 14:04,
пустое
)
Странный глюк в симуляторе ActivHdl
—
RobDSP
(03.09.2003 21:10, 337 байт)
в чем кривость?
—
Postoroniy_V
(03.09.2003 20:14, 494 байт)
глюк в кряке к SP1 of Active-HDL 6.1
—
Dima_Tsirulevsky
(03.09.2003 12:42, 180 байт)
Ответ: Это проблема, по моему, не кряка ....
—
Apast
(03.09.2003 13:31, 285 байт)
Но все дело в том, что тормоза начались именно после установки и кряка SP1, правда у меня WIN98 - попробую на XP
—
Dima_Tsirulevsky
(04.09.2003 09:57,
пустое
)
У меня после того как я его на WinME поставил тоже тормоза начались, а вот как раз на Win98se раньше всё бегало... не пойму ничего...(-)
—
Зок Мёдов
(03.09.2003 16:33,
пустое
)
Ребята! Экзотика типа Win98 и WinME должна быть забыта как страшный сон и NT4 и 2000 . Поставте XP и многие проблемы должны исчезнуть. Сам всегда проклинаю мелкософт, но XP хотя бы в день десяток раз перегружать не приходится. Ресурсов море жрет - но более менее стабильно работает.
—
Пятничный хрен
(05.09.2003 15:22,
пустое
)
Про 98 и 2000 действительно забыть надо, там USB-MIDI нету :)
—
Зок Мёдов
(05.09.2003 16:58, 245 байт)
У меня были проблемы когда в проекте свои логотип использовал.
—
Elresearch
(03.09.2003 12:47,
пустое
)
VHDL - INTEGER <-> STD_LOGIC_VECTOR
—
Bug
(03.09.2003 12:09, 131 байт)
А зачем? Ну, если очень надо, смотри в сторону IEEE.std_logic_arith.conv_integer (+)
—
andrew_b
(03.09.2003 12:48, 149 байт)
например мне в мой блок передаёться какое-то число через шину восьмиразрядную. и мне с этим числом надо делать действия деления/умножения/корень/ и т.п., просто я не вкурсе как это делаеться
—
Bug
(04.09.2003 17:32,
пустое
)
Ответ (+)
—
andrew_b
(05.09.2003 08:32, 464 байт)
Ответ:
—
Dima_Tsirulevsky
(03.09.2003 12:45, 71 байт)
а где эти функции есть ? я пишу их в Active HDL и он ругаеться что не известно ему такое.
—
Bug
(04.09.2003 12:57,
пустое
)
vhdl
—
rudys
(02.09.2003 20:24, 184 байт)
Ответ: Чек SDRAM ;-) У меня подомное было, только Quartus вываливался.
—
Jackal
(03.09.2003 09:09,
пустое
)
проверьте код (синтезируется для Virtex)
—
yes
(02.09.2003 17:47, 1557 байт)
утром посмотрел - все работает (глюки блин), а htmlize умеет и цветные буквы :)
—
yes
(03.09.2003 08:46,
пустое
)
Ответ: Главное что бы такие глюки в серии не проявлялись ;-) А то в Юзверь мануале придется писать, если не работает, включите на следующий день, должно работать ;-)))))
—
Jackal
(03.09.2003 09:07,
пустое
)
Нужны книжки
—
AOSP
(02.09.2003 14:56, 406 байт)
Может, кто пробовал CELOXICA HANDEL-C. Поделитесь впечатлением.
—
KA
(02.09.2003 11:56,
пустое
)
И где оно? Подразнили только..
—
Кн
(04.09.2003 10:04,
пустое
)
Че-то не видать пока красной армии... Кстати, на електроде хорошие отзывы об этой штуке (полное название "DK Design Suite").
—
alkan3
(03.09.2003 11:51,
пустое
)
положил на нагано - кто попробует скажите как ее пробовать -)
—
net
(02.09.2003 16:14,
пустое
)
Ответ: Не могу найти, не вижу
—
Slavko
(02.09.2003 17:58,
пустое
)
сейчас - немного погодите - мастер уже на работу пришел ;-))
—
net
(02.09.2003 18:06,
пустое
)
Demo или полная версия ?
—
Кн
(02.09.2003 16:40,
пустое
)
Full
—
KA
(03.09.2003 12:54,
пустое
)
Спасибо PY.
—
xilinx
(02.09.2003 10:27, 6 байт)
how crack ISE 5.2i Evaluation
—
xilinx
(01.09.2003 15:32, 164 байт)
Ответ: ID: 0827-8579-8461
—
PY
(02.09.2003 10:24,
пустое
)
Смотри внимательно конфу, ID для полной версии я уже выкладывал. Удачи.
—
miki
(01.09.2003 15:34,
пустое
)
how crack ISE 5.2i
—
xilinx
(01.09.2003 15:29, 156 байт)
а как в VHDL принято описывать "сверточные операции", типа &abc[10:4] ?
—
yes
(01.09.2003 09:07, 71 байт)
std_logic_misc.AND_REDUCE
—
zlyh
(01.09.2003 10:28, 1125 байт)
чего-то немогу после праздников понять ничего - где ошибка
—
yes
(01.09.2003 10:07, 5061 байт)
Сомневаюсь что можно исапользовать оператор "and" в аргументах "BIT_CONV_XOR(PRN_REG and MASK)". Локальные функции - можно.
—
zlyh
(01.09.2003 10:42,
пустое
)
все ОК, спасибо. забыл выход прицепить (sorry в этом фрагменте это не видно)
—
yes
(01.09.2003 10:45, 38 байт)
ну и еще вопрос - функции декларируются только в package или как-то можно создать "локальную" функцию?
—
yes
(01.09.2003 09:55,
пустое
)
Не только... В разделе деклараций архитектуры.
—
andrew_b
(01.09.2003 10:36,
пустое
,
ссылка
)
Упс... На ссылку не смотрите --- осталось от предыдущего поста...
—
andrew_b
(01.09.2003 10:37,
пустое
)
немного сравнения.
—
KA
(30.08.2003 23:26,
пустое
,
ссылка
)
License.dat для Aldec. Спасибо ника не помню!!!
—
Пятничный хрен
(30.08.2003 14:15, 11820 байт)
просьба. помогите разобраться. опишите шаги, которые надо сделать инстала и кряка A-HDL6.1sp1
—
Bug
(01.09.2003 11:59,
пустое
)
Просвятите чайника: licence.dat, что с ним делать кроме того что его надо перенести в папку Licence ? и какой инстал делать, с network licence ?
—
Bug
(01.09.2003 11:40,
пустое
)
Лекарство Aldec 6.1 SP1. Мартовский Котяра и ника не помню. Все в конфе, кто хочет тот найдет. Снял лишь чужие сливки. Думаю авторы не осудят.
—
Пятничный хрен
(29.08.2003 18:41, 1068 байт)
Может кому то и я помогу: Msetup.exe запустится если в пути не будет пробелов. Сам пару часов промучался...
—
algent
(30.08.2003 14:14,
пустое
)
Ответ: Облом мне, я полную версию выкачал - к ней , как и положено , не подходит. Может кто полную уже ломанул? Котяра, ты где?;-)
—
vasily
(30.08.2003 12:17,
пустое
)
Полная 600мег с фтп должно подходить, а к веб неподходит точно :о(((
—
cdg
(30.08.2003 12:26,
пустое
)
Не надо песен Full 6.1 1289 SP1.12. Как часики. Все адреса ессно в HEX.
—
Пятничный хрен
(30.08.2003 14:02,
пустое
)
Ответ: Блин слил 600Мб с алдека, чет не хачится ... в файлах по указанным адресам лежат другие битики ... ктонить уже хачил ? P.S. Если да киньтесь просто файликами этими плиз, что бы время зря не тратить.
—
Jackal
(01.09.2003 15:56,
пустое
)
Вроде как, земляки ? :). Непонятки от того что Hiew при просмотре показываем не image файла, а его расположение в памяти. Image он показывает, когда уже начинаешь редактировать по F3. Делать надо так: 1 Запускаем Hiew, открываем файл. 2. не глядя на адрес, нажимаем F5 (go to) и вводим нужный адрес с незначащими нулями, далее enter. 3. не глядя на адрес нажимаем F3(edit) - и видим нужный нам адрес. 4, редактируем, далее F9. и выходим F10.
—
algent
(02.09.2003 17:36,
пустое
)
И лучше сразу после считывания файла выбрать F4 и далее decode.
—
algent
(02.09.2003 17:40,
пустое
)
Может по первости че не работает. У меня на этом компе - то ли 5.1 или 5.2. А файл лицензии нужен!!!!
—
Пятничный хрен
(30.08.2003 14:10,
пустое
)
дык, не я один же я говорю, другие байты лежат по указанным адресам, однако....:-(
—
vasily
(01.09.2003 21:41,
пустое
)
Ответ: Да другие что тут спорить ... На 6.1 все работает, а вот 6,1 SP1 который 600 метров, точно не катит -(
—
Jackal
(03.09.2003 16:08,
пустое
)
катит, катит у меня UltraEdit-32. всё там, где и должно быть.
—
KA
(04.09.2003 00:15,
пустое
)
Кто может просветить насчет VHPI интерфейса в Aldec-HDL? Спасибо.
—
miki
(29.08.2003 15:33,
пустое
)
А в чем проблема?
—
Volodymyr
(29.08.2003 18:48,
пустое
)
Просвети сначала насчет VHPI?
—
Пятничный хрен
(29.08.2003 18:11,
пустое
)
VHPI итерфейс для VHDL, есть некое подобие PLI в Verilog. К сожалению больше ничего сказать не могу.
—
miki
(29.08.2003 18:26,
пустое
)
Извини VHDL не пользую
—
Пятничный хрен
(29.08.2003 18:43,
пустое
)
А сколько средняя зарплата квалифицированного инженера по данной специальности в России?
—
А.И.
(29.08.2003 13:22,
пустое
)
Как температура в поликлинике - средняя нормально ;-)
—
misyachniy
(29.08.2003 13:42,
пустое
)
Как переместить проект в ModelSim 5.7?
—
Volodymyr
(29.08.2003 12:47, 269 байт)
Offtop
—
Alex-AE
(27.08.2003 21:24, 378 байт)
а зарплата. Или надо читать Госпредприятие.
—
KA
(28.08.2003 18:57,
пустое
)
Кто-нить чтонить скажет по поводу лекарств для Quarus-II v 3.0 ?
—
asoneofus
(27.08.2003 20:40,
пустое
)
Ответ: Есть для 3.0 и 3.0 SP1. Отвечу на письмо.
—
Vlad23
(28.08.2003 06:42,
пустое
,
ссылка
)
Извиняюсь, уже нашел куда.
—
AndrN
(28.08.2003 13:28,
пустое
)
Куда писать?
—
AndrN
(28.08.2003 08:50,
пустое
)
Помогите разобраться с симуляцией BlackBox в Aldec-е
—
Konstantin
(27.08.2003 15:06, 196 байт)
Проблема в несоответствии версий. В рукопашную меняешь - и все работает. Не спрашивай конкретно. Честно сказать не помню, но там элементарно сам разберешься.
—
Пятничный хрен
(29.08.2003 17:33,
пустое
)
WG2002 - кому-нибудь удается успешно юзать этот пакет с общеизвестным кряком? (например от нагано)
—
klg
(27.08.2003 12:27,
пустое
)
Ответ: тогда - несколько детских вопросов...
—
klg
(28.08.2003 11:29, 593 байт)
Конвертить из пикада пдф - импорт... Ещё примочки от 3-х лиц есть... Но лучше намалевать заново. Сервиспак 2 есть, Установите единицу проекта аз руут %)
—
asoneofus
(28.08.2003 16:17,
пустое
)
а у вас sp2 имеется? можете поделиться?
—
zzz
(28.08.2003 20:15,
пустое
)
Мужики! Я уже два раза возил диски для выкладки на нагано :( Но, блин, что, до сих пор не выложили? :( Неуж по диалпупу за ... руб я должен ещё заталкивать в тырнет это всё?
—
asoneofus
(28.08.2003 23:49, 40 байт)
Ответ: Отлично пашет, даже под Win4Lin :)) (в Линухе) :)
—
asoneofus
(27.08.2003 20:38,
пустое
)
Все работает отлично, проверено на нескольких машинах
—
zzz
(27.08.2003 16:31,
пустое
)
Кинте если есть LRM на VERILOG 2001 в е–mail или дайте ссылочку
—
AOSP
(26.08.2003 17:33,
пустое
)
Попробовал использовать Activ-HDL 6.1 (1289). В симуляторе отказывается работать пункт Edit Mode. Не подскажет ли кто как с этим бороться.
—
Gl_Dz
(26.08.2003 14:33, 28 байт)
У меня работает без проблем.
—
Slavko
(26.08.2003 19:44, 232 байт)
Это я все понимаю. Но фишка в том, что это отлично работает до кряка. А потом пунктик в меню серенький и очень не активный :(
—
Gl_Dz
(27.08.2003 09:51, 298 байт)
Я выкачивал полный пакет, а потом SP1, ставил и лечил по известному методу...
—
Slavko
(27.08.2003 10:57, 60 байт)
Ответ: 17 day left for evaluation this copy
—
Bug
(28.08.2003 18:06, 435 байт)
А лицензия?
—
Slavko
(31.08.2003 00:24,
пустое
)
Все получилось. Плужил малость. Всем благодарность.
—
Gl_Dz
(28.08.2003 09:07,
пустое
)
Еще вопросы по VHDL
—
MemoryTest
(26.08.2003 10:39, 821 байт)
Здесь уже неоднократно говорили, читайте хелпы, в том числе и на синтезёр.+
—
zlyh
(26.08.2003 12:48, 697 байт)
Все, понял , не стоит выдумывать витьеватых конструкций как в Ц, а писать как в доках.
—
MemoryTest
(26.08.2003 13:12,
пустое
)
А чем уговорить FPGA Advantage 6.1 (что-то поиск по конфе не дал ничего)? Подходит лекарство для WG2002?
—
Victor®
(26.08.2003 10:28,
пустое
)
Лекарство для WG2002 не подходит. LS запускается с лицензией для FA 5.2. Неужели никто не пробовал? Интересно сравнить Precision RTL с Synplify/Amplify.
—
Victor®
(26.08.2003 15:15,
пустое
)
Когда заработает поделись впечатлениями, я с FA 5.3 горя хапнул, недоделаная она.
—
Игорь А
(26.08.2003 17:02,
пустое
)
Кроме Precision (самое интересное) все заработало с lic генеренной EFALicgen для Modelsim`a. Хочется Precision попробовать! Помогите, кто справился, плиз
—
Victor®
(26.08.2003 17:37,
пустое
)
A где, если не секрет Вы взяли FPGA Advantage 6.1? У меня есть генератор лицензий под FA5.4
—
miki
(26.08.2003 16:08,
пустое
)
Заказал на MENTOR СD. Пришли дней за 10 по почте.
—
Victor®
(26.08.2003 17:05,
пустое
)
Опять 25, где то в синтаксисе баг, посмотрите плс что её надо.
—
MemoryTest
(25.08.2003 17:43, 1203 байт)
Ещё вариант
—
zlyh
(27.08.2003 11:49, 150 байт)
Попробуй. Но насчёт implementation specific я с andrew_b полностью согласен.
—
zlyh
(26.08.2003 16:08, 314 байт)
Спасибо. пошло. Теперь зато знаю как делать.
—
MemoryTest
(26.08.2003 16:25,
пустое
)
ааа , этой точку с запятой я удалить забыл на самом деле.. считаем что её нет.
—
MemoryTest
(25.08.2003 18:29, 268 байт)
Кошернее все выносить в .ucf. Он для этого и предназначен. При переходе на другой кристалл VHDL-код не надо будет модифицировать.
—
andrew_b
(26.08.2003 08:33,
пустое
)
Ок, пока так и сделал.
—
MemoryTest
(26.08.2003 09:29,
пустое
)
Ответ: sa_in: in std_logic_vector(adress_length-1 downto 0); <<-- Точка с запятой лишьняя.
—
OS
(25.08.2003 18:21,
пустое
)
Aldec Active-HDL 5.2
—
Bug
(25.08.2003 17:14, 363 байт)
Все уже давно 6.1 SP1 пользуют. На ftp Aldec уже давно все лежит. На солнышке перегрелся!
—
Пятничный хрен
(29.08.2003 18:08,
пустое
)
Quartus II 3.0 Service Pack 1
—
IEEE
(25.08.2003 13:11, 26 байт)
Совместная работа Amplify 3.1 и ModelSim 5.6 SE
—
A_Dyboy
(24.08.2003 12:43, 146 байт)
Господа спецы! Чем программа Active HDL 6.1лучше программ других фирм, что в нем есть такого, чего нет у другого? СтОит на него переходить?
—
ПЛМ
(23.08.2003 13:47,
пустое
)
Ответ: лучше-то она лучше... но вот кряк найти сложно :-(
—
Bug
(25.08.2003 16:47, 260 байт)
Active-HDL обладает мощным design entry. Скорость компиляции/моделирования VHDL высокая, Verilog отстаёт от аналогов.
—
Volodymyr
(23.08.2003 19:08,
пустое
)
Ответ: Смотря, что считать под выражением "лучше"
—
V61
(23.08.2003 14:32, 221 байт)
OrCAD 9.1 под WinXP Pro
—
владимир
(23.08.2003 10:13, 168 байт)
Ответ: 9.2.1 работает нормально.
—
Vlad23
(25.08.2003 07:05,
пустое
)
А может у кого есть отсканереный Douglas J. Smith "Hdl Chip Design"?
—
sergey666
(22.08.2003 12:24,
пустое
)
Ответ: Есть!
—
safonov
(23.08.2003 09:31, 58 байт)
Вот тут ребята собрали некоторые книги, но кое- чего не хватает (+)
—
ot2da
(25.08.2003 12:33, 716 байт,
ссылка
)
Ответ: А как этот формат "дежавю" читать и в него конвертить?
—
maegg
(26.08.2003 13:27,
пустое
)
И об этом ребятат тоже позаботились :-).
—
ot2da
(27.08.2003 11:34,
пустое
,
ссылка
)
Читать по ссылке
—
andrew_b
(26.08.2003 14:42,
пустое
,
ссылка
)
Ответ: А в собачью будку или на Нагано. А может как-то договоримся где-то пересечься?
—
sergey666
(25.08.2003 10:36,
пустое
)
Так вы таки сделали это. Ну так я с тарой подойду.... :-)))
—
zlyh
(23.08.2003 18:07,
пустое
)
Ответ: Да так подходи, мы добро помним :-)
—
Да так подходи
(25.08.2003 08:41,
пустое
)
Лекарство для AHDL61 HELP!!!(+)
—
cdg
(22.08.2003 11:09, 349 байт)
Лекарство для Synplify 7.3 с нагано - не доступно! где взять?
—
Postoroniy_V
(21.08.2003 16:58,
пустое
)
Все прекрасно работает, попробуй использовать Explorer
—
miki
(22.08.2003 10:46,
пустое
)
Его как раз и использую и результат - СТРАНИЦА НЕ НАЙДЕНА!
—
Postoroniy_V
(25.08.2003 11:59,
пустое
)
Сообщи свой e-mail, мой : serein_ru@mail.ru
—
miki
(26.08.2003 11:06,
пустое
)
Подключение библиотеки в Leonardo
—
gramalexus
(21.08.2003 13:33, 206 байт)
Господа, а что в новых пакетах Xilinx подключать xnf или edif уже нельзя?
—
Алекс Юстасу
(20.08.2003 00:04,
пустое
)
Ответ: в ise 4.1 - точно можно edif. а xnf для новых семейств не поддерживался и раньше- (2.1) ограничение по размеру?
—
инженер Гарин
(20.08.2003 15:31,
пустое
)
А не подскажите как?
—
Алекс Юстасу
(21.08.2003 07:47,
пустое
)
Вроде как просто скопируй EDN в корень проекта, МАП и ПАР их сами найдут.
—
-=Sergei=-
(21.08.2003 15:00,
пустое
)
Нет ли у кого-нибудь библиотеки для отладки USB с UTMI, типа utmi_fz от synopsys.
—
Gate
(19.08.2003 20:14,
пустое
)
Вопрос к работающим с Xilinx ISE (+)
—
dad
(19.08.2003 12:16, 409 байт)
А кто чем лечит A*m*p*l*i*f*y 3*2*3? И I*d*e*n*t*i*f*y 1.1?
—
leoyv
(18.08.2003 01:29,
пустое
)
Ответ: Руками ;-)
—
BfoX
(19.08.2003 15:53,
пустое
)
Двумя??? Или какой-то одной??? Тогда - какой?
—
Leoyv
(20.08.2003 12:23,
пустое
)
Ответ: Тремя ;-)
—
BfoX
(24.08.2003 17:17,
пустое
)
По поводу условной компиляции...
—
ReAl
(15.08.2003 12:48, 977 байт)
Если про константы, то SDF-овская backannotation работает без препроцессора с тремя множествами консант и стандартными средсвами.
—
zlyh
(15.08.2003 15:43,
пустое
)
Ответ: (+)
—
SM
(15.08.2003 13:50, 243 байт)
Про оптимизатор знаю. Но у меня на *верхнем* уровне такое желание возникает. Плата используется по-разному, имеет немного разный интерфейс и неизменную внутреннюю начинку.
—
ReAl
(15.08.2003 14:52,
пустое
)
тады да, не поможет...
—
SM
(15.08.2003 15:10,
пустое
)
по большому счету С-шный препроцессор г-но, я видел проекты сделаные на m4 и awk (это языки для обработки текста / препроцессоры)
—
yes
(15.08.2003 13:21, 157 байт)
Во-во. Прелесть С-шного препроцессора в том, что он работает сам :-), а для интерфейсных регистров надо сразу писать .h-файл для C и включать его в .ahdl по #include
—
ReAl
(15.08.2003 13:32, 231 байт)
Вот нашел несколько книг по VHDL. Кому интересно - смотрите. А если есть у кого- поделитесь мнением, пожалуйста.
—
Victor®
(14.08.2003 17:13,
пустое
,
ссылка
)
вот есть хорошая книжка, но книжки (имхо) дают знания не практичные
—
yes
(15.08.2003 09:05, 734 байт)
Спасибо, когда-то один коллега (не Вы случайно :-) сканировал очень неплохую книгу (Designer's Guide to Vhdl Peter J. Ashenden), если не ошибаюсь. Но контакты потерялись :-( От-зо-вииии-тесь...
—
Victor®
(15.08.2003 09:39,
пустое
)
не я. а в электронном виде хорошо посмотреть VHDL reference-сы от тулзов Cadence или Synopsys
—
yes
(15.08.2003 13:15, 64 байт)
Так такого добра у меня хватает. Книгу хочется, а не референс.
—
Victor®
(15.08.2003 14:13,
пустое
)
А Вы в Москву на выставку 5 сентября случайно не собираетесь ? Я там буду, могу прихватьть два сидюка с этой книгой.
—
Stewart Little
(15.08.2003 12:50, 91 байт)
Ответ: Я могу подъехать, если скажете, что за выставка и как вас узнать. Буду рад любой серьезной литературе по VHDL и Verilog, если позволит моя НИИшная з/п
—
sergey666
(22.08.2003 12:16,
пустое
)
Окститесь, какие деньги ? Все люди братья !
—
Stewart Little
(22.08.2003 14:24, 120 байт)
И болванок CD'шных с собой захватите штукаи четыре.
—
Stewart Little
(22.08.2003 14:25,
пустое
)
Ответ: А Douglas J. Smith "Hdl Chip Design" имеется?
—
sergey666
(22.08.2003 19:19,
пустое
)
Ответ: Договорились.
—
sergey666
(22.08.2003 19:16,
пустое
)
Точно не знаю :-( Может по e-mail обсудим как это дело провернуть?
—
Victor®
(15.08.2003 14:07,
пустое
)
Пишите варианты - выберем оптимальный.
—
Stewart Little
(15.08.2003 16:04,
пустое
)
Рекомендую.
—
dsmv
(14.08.2003 19:28, 355 байт)
Cver - открытый (GPL) симулятор Verilog (+)
—
andrew_b
(14.08.2003 11:49, 704 байт,
ссылка
)
И как? Достоин ли скачивания?
—
Rotten
(15.08.2003 16:36,
пустое
)
Понятия не имею. Я с Верилогом не дружу.
—
andrew_b
(15.08.2003 16:57,
пустое
)
А я с VHDL. Verilog только с умными дружит!?
—
Rotten
(15.08.2003 19:23,
пустое
)
Спасибо!
—
Rotten
(15.08.2003 20:21,
пустое
)
Али понравился?
—
andrew_b
(18.08.2003 16:46,
пустое
)
virtex: Commercial & Industrial.
—
Mad Makc
(13.08.2003 17:48, 278 байт)
Ответ:
—
Vitus_strom
(14.08.2003 10:16, 179 байт)
это, похоже, стартовый ток...
—
Maverick
(13.08.2003 20:36, 361 байт)
Стартовый как минимум. Сколько он бы жрал на 200МГц?
—
zlyh
(14.08.2003 11:36, 96 байт)
А что же тогда жрёт 1,5 ампера в индастриал???прогрев транзистров что-ли?
—
Mad Makc
(14.08.2003 17:57,
пустое
)
Может около того. Возможно там транзисторы помощнее. Хотелось бы услашать например yes-а или actel-я. Или кто ещё сейчас до топологии доходит.
—
zlyh
(15.08.2003 17:13,
пустое
)
Ответ: Этот пичек тока имеет продолжительность порядка ~ ms(или еще меньше) и связан с прохождением транзисторов через пороговое напряжение.Выражается в полке при нарастании питания ядра.Xilinx в каком-то xapp говорил о схемах для накаплении энергии при запуске.
—
ilya79
(15.08.2003 22:23,
пустое
)
Ребята, подскажите, как синтезить одну задачу на нескольких компах?
—
Masha
(13.08.2003 15:19,
пустое
)
для синтеза ASIC есть распределенный синтез (но мне его потрогать не удалось - очень дорогая штука)
—
yes
(14.08.2003 08:28, 142 байт)
Ответ: У меня как раз SunSolyaris, ASIC и сапры
—
Masha
(14.08.2003 17:59, 94 байт)
позволю себе встречный вопрос - ведь в нормальном design flow (не в XST :-( ) можно руками все распаралелить
—
yes
(21.08.2003 17:35, 498 байт)
вот "параллелизатор" - утилита pmake берет gmake файлы
—
yes
(22.08.2003 09:11,
пустое
,
ссылка
)
Ответ: Вот это похоже на то что нужно.
—
Masha
(22.08.2003 15:07, 131 байт)
Хм... Позволю тут встрять. (+)
—
SМ
(21.08.2003 21:48, 339 байт)
зависит от размера :) - иногда на неделю надо оставлять
—
yes
(22.08.2003 09:07, 726 байт)
Вопрос (+)
—
SМ
(22.08.2003 11:16, 141 байт)
по-моему tcl - инструмент пользователя dc_shell, я для Ambit-a могу пример найти
—
yes
(22.08.2003 13:18, 179 байт)
Спасибо за подсказку. Я как-то этот group упустил из виду.
—
SМ
(22.08.2003 13:39,
пустое
)
Копайте в сторону Ambit Envisia и Cadence PKS. В тех краях есть то, что Вам надо.
—
SM
(15.08.2003 13:59,
пустое
)
в Ambite вроде есть (по рекламке), но насколько оно работает - не знаю (видел только однозадачный)
—
yes
(21.08.2003 17:25,
пустое
)
Ответ: Это на их сайты топать? А больше нигде ничего нету? А Synopsys тоже могет?
—
Masha
(15.08.2003 16:06,
пустое
)
Ответ (+)
—
SМ
(20.08.2003 22:31, 635 байт)
Для этого нужно нейро ОС поставить.
—
KA
(14.08.2003 00:13,
пустое
)
Парни, такой вопрос. Есть ModelSim Altera 5.6a входит в состав лицензионного Quartus'a (не мой). Есть аппартный ключ (LPT) ко всему пакету с ним всё работает нормально. Сгенерировал лицензию на ModelSim Altera 5.6а с помощью licgen, но он расыпается при запуске vsim. Посоветуйте какой то crackнутый ModelSim и Quartus .Или придётся взламывать аппартный ключ. Кто-то делал это? Поделитесь пожалуйста опытом. Есть аппартура (логический анализатор). Если смысл его вскрывать?
—
Антон
(12.08.2003 16:27, 471 байт)
Ответ: От аппаратного ключа есть схема. Содержимое конкретного
—
Alex11
(01.09.2003 11:29, 156 байт)
$display в ModelSim
—
МИФИст
(11.08.2003 14:50, 140 байт)
работает по умолчанию (просто modelsim кучу мусора печатает - может потерялось там)
—
yes
(11.08.2003 15:14,
пустое
)
тогда ещё вопрос
—
МИФИст
(11.08.2003 15:36, 74 байт)
к сожалению нет
—
МИФИст
(11.08.2003 15:24, 144 байт)
Ответ: работает-работает
—
Qwest
(11.08.2003 23:09, 545 байт)
Снова о нс импульсах. Прошу извинить за молчание с моей стороны (отключилась сеть) и большое спасибо за советы. Попробую...
—
ВладР
(11.08.2003 10:28,
пустое
)
Может кто встречал конвертер из VHDL в Verilog
—
VHDL2VERILOG
(08.08.2003 19:06,
пустое
)
Ответ: Полностью согласен с Rotten
—
BfoX
(19.08.2003 15:58,
пустое
,
ссылка
)
Есть программуля X-HDL.Переводит туда и обратно. Правда объем около 4М
—
Slavko
(09.08.2003 22:05,
пустое
)
А можно на нее взглянуть? Если не обременит
—
Shatun
(10.08.2003 10:57,
пустое
)
Встречал и пробовал за несколько лет разные! Блевотина!!!! Проще основы VHDL просмотреть. Меня это очень напрягало. Но выхода нет.
—
Rotten
(08.08.2003 20:01,
пустое
)
Помогите, плз!!! Нужно формировать импульсные последовательности в нс дипазоне (+)
—
ВладР
(08.08.2003 11:37, 449 байт)
на кой ляд вам нужно от 100 нс. до 1 c
—
KA
(09.08.2003 01:16, 515 байт)
Ядро PIC и запустить его на 100 или 200МГц.
—
KA
(09.08.2003 01:29, 235 байт)
Смотря для чего это надо. Можно, но ненадёжно или дорого будет
—
DrGreen
(08.08.2003 17:06,
пустое
)
Смотря для чего это надо. Можно, но ненадёжно или дорого будет
—
DrGreen
(08.08.2003 17:05,
пустое
)
Дискретность длительности и пауз какая ?
—
-=Sergei=-
(08.08.2003 11:50, 199 байт)
Ответ:Длительность импульса не изменяется (любая от 5 до 10), а дискретность (+)
—
ВладР
(08.08.2003 12:12, 78 байт)
То есть (+)
—
-=Sergei=-
(08.08.2003 17:16, 250 байт)
Добавка
—
-=Sergei=-
(08.08.2003 17:20, 72 байт)
Еще один вопрос. Verilog-препроцессор в Synplify
—
Навзничь
(07.08.2003 11:52, 212 байт)
Возможен ли условный синтез по значению параметра в Verilog?
—
Навзничь
(07.08.2003 11:42, 510 байт)
вроде бы нельзя
—
yes
(07.08.2003 13:16, 98 байт)
Подскажите чем я могу компилить VHDL в командной строке?
—
DrGreen
(06.08.2003 18:11,
пустое
)
Нормальные тулзы имеют вменяемые интерфейсы командной строки, что позволяет начиная от синтеза и заканчивая прошивкой микрухи делать скриптом в неинтерактивном режиме.
—
andrew_b
(07.08.2003 08:41,
пустое
)
Synopsys DC, LeonardoSpectrum, и наверняка еще куча, умеющих через TCL-скрипты работать.
—
SM
(06.08.2003 22:55,
пустое
)
Ага, есть такое дело. Спасибо за помощь.
—
DrGreen
(07.08.2003 12:03,
пустое
)
Хм. Это если под "компилить" понимается "синтезировать"
—
SM
(06.08.2003 22:56,
пустое
)
Хм. Это если под "компилить
—
SM
(06.08.2003 22:55,
пустое
)
Где можно заказать изготовление 1000 плат 4 слоя с монтажом. Чтоб дешево было. Так чтоб отправил схему гербер, и получить готовые платы. Предполагаю Тайвань или Китай будет самый раз.
—
KA
(05.08.2003 17:09,
пустое
)
а как можно сделать в VHDL условную компиляцию???
—
yes
(05.08.2003 15:04, 213 байт)
Озадачил. Молодец! ...
—
zlyh
(06.08.2003 15:06, 443 байт)
Помоему configuration как раз для этого. Давай конкретный пример.
—
zlyh
(05.08.2003 20:12,
пустое
)
проблема именно в большом объеме проекта - придумать какой-либо пример мне сложно
—
yes
(06.08.2003 09:01, 1096 байт)
А что, симулятору мешают арибуты "черный_ящик". А какие аргументы против использования CVS?
—
andrew_b
(06.08.2003 09:27,
пустое
)
помоему ненаходит (по крайней мере библиотеку не создает (vcom) )
—
yes
(06.08.2003 12:18, 46 байт)
красиво и VHDL - это антонимы. А вообще действительно, что в AHDL, что в VHDL очень не хватает аналога конструкций #define #ifdef.. и возможности закомментировать целые блоки :)
—
cms
(05.08.2003 15:15, 72 байт)
... и возможности закомментировать целые блоки :) В AHDL % комментирует блоки.
—
Victor®
(05.08.2003 17:38,
пустое
)
А #define #ifdef в AHDL хорошо меняется на IF GENERATE
—
SM
(06.08.2003 11:16,
пустое
)
Немного не согласен. IF ... GENERATE работает только в теле проекта на уровне структурных элементов. А вот, например, есть два набора констант и я хочу чтобы задавая параметр мог бы выбирать, который из этих наьоров будет подставляться при компиляции
—
cms
(06.08.2003 12:34,
пустое
)
Так не проблема - замени константы параметрами и уровнем выше сработает IF GENERATE.
—
SM
(06.08.2003 14:21,
пустое
)
Сорри, AHDL приплел зря. Но в VHDL этого очень сильно не хватает.
—
cms
(05.08.2003 18:48,
пустое
)
Этого действительно не хватает. Для простых случаев можно делать так: (+)
—
andrew_b
(05.08.2003 15:33, 367 байт)
И что из того. Делай настройки в package. Нормальный условный синтез.
—
KA
(05.08.2003 16:47, 7 байт)
но ведь generate работает только внутри architecture ... begin [HERE]
—
yes
(05.08.2003 16:19, 298 байт)
Ну так я же и сказал: для простых случаев... (+)
—
andrew_b
(05.08.2003 16:50, 424 байт)
Нужен H/W инженер в Ю.Корею. Только быстро.
—
st256
(05.08.2003 10:27, 139 байт)
Ответ:
—
gg
(22.12.2006 20:20:56
85.100.95.198
,
пустое
)
Ответ: Это не те ли ребята, которые вот уже битый год все набирают да набирают?
—
ks
(06.08.2003 15:21,
пустое
)
Ответ: не в Daejion случайно :) ?(-)
—
K...s
(05.08.2003 17:03,
пустое
)
Ответ: email?
—
инженер Гарин
(06.08.2003 12:38,
пустое
)
st256@mail.ru
—
st256
(06.08.2003 16:26,
пустое
)
Ответ:имелся в виду имейл мистера K..s - есть вопрос
—
инженер Гарин
(06.08.2003 16:42,
пустое
)
st256@mail.ru
—
st256
(06.08.2003 16:24,
пустое
)
A ckol'ko budut platit'???
—
LeoYv
(05.08.2003 16:53,
пустое
)
Как в Штатах
—
st256
(07.08.2003 15:47,
пустое
)
Посмотрите код:, что ему не нравиться не пойму. Просто тока начал с HDL плотно работать.
—
MemoryTest
(05.08.2003 09:58, 1378 байт)
Посмотрел
—
Leoyv
(05.08.2003 13:10, 1410 байт)
Большое спасибо. Скачал седня туториал еще у aldec- а по VHDL, поштудирую на досуге.
—
MemoryTest
(05.08.2003 13:27,
пустое
)
Моделирование и ошибка clock skew error в Quartus 2000.09
—
Nick Kovalyov
(05.08.2003 01:21, 325 байт)
Общее решение:
—
cms
(05.08.2003 13:28, 872 байт)
Помогите, кто разбирался с SystemDesigner в windows XP
—
Shtirlits
(03.08.2003 23:47, 862 байт)
Вопрос знатокам. AHDL 6.1 инсталлится либо под Xilinx, либо под Altera. Возможно без переинсталляции переходить с одной элементной базы на другую? Спасибо!
—
Shatun
(03.08.2003 12:25,
пустое
)
Конечно. Когда задаеш Flow setting.
—
Slavko
(04.08.2003 00:33,
пустое
)
HELP! Временное моделирование в Active HDL 5.1 проекта, сгенерированного Quartus 2000.09
—
Nick Kovalyov
(02.08.2003 00:41, 432 байт)
Ответ: Я думаю что у Вас в Ative HDL5.1, либо нет библеотек для Alterы, либо они не совпадают с Quartus2000.
—
Apast
(02.08.2003 06:56, 141 байт)
Ответ:
—
Nick Kovalyov
(05.08.2003 01:14, 535 байт)
Народ! MIPS EJTAG 2.5 (можно без МИПС, но...) ктонить гдето видел?? А схемки девайсов/халявасофт? :)
—
asoneofus
(31.07.2003 01:12, 146 байт)
Кстати, о языках - кто-нибудь на Confluence смотрел?
—
Thunder
(30.07.2003 16:26, 64 байт,
ссылка
)
Ответ: на превый взгляд
—
инженер Гарин
(01.08.2003 19:09, 230 байт)
Для несерьёзного есть {Clock} {Enable} {Reset}
—
Thunder
(02.08.2003 11:31,
пустое
)
Ответ: чем он отличается от Verilog/VHDL, только сокращение формы записи ??? какой-то очень странный синтаксис!
—
bryk
(01.08.2003 12:29,
пустое
)
Какое сокращение? Хотя бы уже то, что все знаки операций надо в кавычках писать...
—
andrew_b
(01.08.2003 12:58,
пустое
)
Ответ: может это что-то типа tcl ? ;-)))))
—
bryk
(01.08.2003 12:59,
пустое
)
Синтаксис у них ну просто радикально новый, напоминающий разве что внутренние библиотеки CADов :)
—
cms
(31.07.2003 13:33, 266 байт)
Синтаксис у Confluence как у всех FP(Functional Programming) языков. В этом и фишка что синтезируемый HDL это по сути FP
—
Thunder
(01.08.2003 10:25,
пустое
)
?Может они про типы не слышали :-)
—
Victor®
(31.07.2003 16:40,
пустое
)
А на какой порт триггера вы тип заводите?
—
Thunder
(01.08.2003 11:01, 103 байт)
А у меня на первый взляд:"Черт ногу сломит" :-)
—
Victor®
(30.07.2003 18:25,
пустое
)
Есть хорошая РАБОТА для специалистов по HDL
—
actel
(29.07.2003 11:03, 153 байт)
А как на счет VHDL?
—
DmitriP
(29.07.2003 15:52,
пустое
)
Как вариант возможно - звоните поговорим
—
actel
(29.07.2003 18:14,
пустое
)
А через инет вы работаете?
—
KA
(09.08.2003 13:21,
пустое
)
Аналог VHDL функции sxt на Verilog.
—
Pashka
(28.07.2003 14:00, 87 байт)
а {8{us[7]},us[7:0]} - чем не подходит?
—
yes
(01.08.2003 08:39,
пустое
)
а verilog 2001 c его signed не поможет?
—
Thunder
(28.07.2003 14:28, 748 байт)
Спасибо. Может быть и поможет. Надо проверить.
—
Pashka
(28.07.2003 14:32,
пустое
)
Где можно купить аппаратные ускорители HDL моделирования?
—
ilya79
(27.07.2003 13:28, 27 байт)
никогда не пользовал - вот, наверно, "бюджетный" вариант
—
yes
(01.08.2003 08:37,
пустое
,
ссылка
)
Один из таких был www.ikos.com. Купить? Разве если Абрамович проспонсирует.
—
zlyh
(28.07.2003 10:33,
пустое
)
Как можно в AHDL 6.1 объяснить компилятору, что у меня символ "black box" и есть только .ngc файл этого символа. Спасибо!
—
Shatun
(25.07.2003 09:26, 123 байт)
Не знаю. На автомате все работает классно .
—
Rotten
(25.07.2003 20:37,
пустое
)
А у Вас AHDL 6.1 с сервиспаком? А то у меня подобного рода задрочка была с ISE 5.1 пока SP3 не поставил. Потом сразу покотило
—
Shatun
(01.08.2003 08:50,
пустое
)
А у меня компилятор выдает, что не может найти данный объект. Такое бывает у меня, когда не описан какой-либо символа не о
—
Shatun
(27.07.2003 16:53,
пустое
)
Как можно AHDL-ю объяснить, что у меня символ "black box" и есть только .ngc файл этого символа. Спасибо!
—
Shatun
(25.07.2003 09:22, 106 байт)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра