[an error occurred while processing this directive]
Ответ: signal RAM : ram_type := (others => x"0");
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 15 сентября 2003 г. 16:30
В ответ на: взгляните - мой глюк или моделсима отправлено yes 15 сентября 2003 г. 16:14

Это для поведенческой модели. Если хотите синтезировать, нужно подставлять блок памяти.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru