[an error occurred while processing this directive]
нет - это стандартное описание двухпортовой памяти и оно синтезируется в BRAM (XST, Synplify)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 15 сентября 2003 г. 18:42
В ответ на: Ответ: Я не эксперт по языку отправлено V61 15 сентября 2003 г. 18:18

Вы же триггер не вставляете как физическое уcтройство dff

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru