[an error occurred while processing this directive]
Виноват отсутствующий ресет.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 19 сентября 2003 г. 18:48
В ответ на: Active-HDL -> Leonardo -> MAXplus - не работает :-( отправлено Bug 19 сентября 2003 г. 13:16

signal cnt : std_logic_vector(7 downto 0) := "00000000";
signal DIV_N: std_logic_vector(2 downto 0):= "000";
Это не синтезируется. так что cnt в синтезируемой модели будет U, а если к U прибавить 1, то опять получается U. и так далее...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru