[an error occurred while processing this directive]
Ответ: Где не подключил? В файле vhdl? Я подключил:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Nick222 09 октября 2003 г. 19:09
В ответ на: просто ты добавил порт, к которому ничего не подключено(+) отправлено 3_класса_церковно_приходской_школы 09 октября 2003 г. 16:30

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity main is
Port ( clock : in std_logic;
bin3 : in std_logic;
fwr : in std_logic;
d : inout std_logic_vector (7 downto 0);
bout3 : out std_logic);
end main;

architecture Behavioral of main is
signal tmp_out : std_logic;

begin

process (clock)
begin
if( clock'event and clock = '1' ) then
bout3 <= bin3;
end if;
end process;

process (clock)
begin
if( clock = '1' ) then
if( fwr = '0' ) then
d <= "10101010";
end if;
end if;
end process;

end Behavioral;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru