[an error occurred while processing this directive]
Логично. Как преобразуется вектор "1000" ? это может быть 8 а может быть -8.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено DmitriP 22 октября 2003 г. 13:31
В ответ на: CONV_INTEGER ( ) поддерживает преобразование из INTEGER, UNSIGNED, SIGNED или STD_ULOGIC форматов, но не из STD_LOGIC_VECTOR отправлено Sergey Rodionov 22 октября 2003 г. 10:54

Поэтому необходимо исключить подобную неоднозначность, т.е. преобразовать STD_LOGIC_VECTOR в SIGNED или UNSIGNED или т.п. а потом использовать CONV_INTEGER.

Например
var_int <= CONV_INTEGER(UNSIGNED(var_vector));

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru