[an error occurred while processing this directive]
Покрытие тестами в mjdelsim-е
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено MichaelM 23 октября 2003 г. 16:13

Народ. МОжет кто знает… Суть такова — есть проект логический, — есть его нетлист (перегнанный синопсисом в верилог). Есть такая функция — code coverage. Эта функция показывает «активность кода» и работает на vhd — файлах… Я пользую смешанное моделирование, ибо нетлист только верилоговский, — и вот его-то я и хотел бы «покрыть тестами», но вот именно он-то и не покрывается. Не находит она(modelsim) его как instanse и все тут… Приичем само моделирование идет и внутрях этого нетлиста все переключается как надо… Все блоки ненетлитстовые (тестер и прочая обвязка) обозваны как архитектуры, нетлист же обозван модулем. Пользуюсь моделсимом 5.7g.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru