[an error occurred while processing this directive]
константы в VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено urri 14 ноября 2003 г. 13:43

Почему не компилируется такая конструкция :

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
----
----
constant adr_control : integer := conv_integer("1111111111");
----
----
process(CLK)
begin
if CLK'event and CLK='1' then
if WR='1' then
case conv_integer(ADR) is
when adr_control => ADC_EN <= DI(0);
-- здесь ошибка
when others => null;
end case;
end if;
end if;
end process;

В то же время, если задать
constant adr_control : integer := 1023;
то все проходит нормально

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru