[an error occurred while processing this directive]
IEEE.std_logic_unsigned - на самом деле никакого отношения к IEEE не имеет.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Valeri 14 ноября 2003 г. 15:11
В ответ на: константы в VHDL отправлено urri 14 ноября 2003 г. 13:43

Эти пакеты не стандартизованы никак! Поэтому реализация IEEE.std_logic_unsigned и IEEE.std_logic_signed делается каждым вендором по своему. В связи с этим некорректно ставить вопрос, не указывая, каким компилятором транслируется проект.
Чтобы не иметь подобного рода проблем как сейчас, так и в будущем НАСТОЯТЕЛЬНО рекомендуется использовать стандартные библиотеки именно от IEEE, например IEEE.numeric_std.
Тогда и ответ однозначный можно будет дать.

При использовании библиотеки numeric_std для вашего случая подойдет такая конструкция:

constant adr_control : integer := to_integer(unsigned("1111111111"));

По этому поводу есть хорошее объяснение в VHDL FAQ.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru