[an error occurred while processing this directive]
Небольшая поправка
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Valeri 14 ноября 2003 г. 15:20
В ответ на: IEEE.std_logic_unsigned - на самом деле никакого отношения к IEEE не имеет. отправлено Valeri 14 ноября 2003 г. 15:11

При использовании IEEE.numeric_std работает такая запись:

constant adr_control : integer := to_integer(unsigned(B"1111111111"));



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru