[an error occurred while processing this directive]
numeric_std и std_logic_* друг друга не любят. И там и там одно и тоже определяется.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 20 ноября 2003 г. 18:06
В ответ на: VHDL: между библиотеками ieee.numeric_std и std_logic_XXXX конфликтов нету? отправлено yes 20 ноября 2003 г. 17:30

Один вариант писать не
use ieee.numeric_std.all;
а например
use ieee.numeric_std.TO_INTEGER;
Т.е. что конкретно из библитеки используешь.

Второй вариант при непосредственном использовании функции написать полный путь
iii <= ieee.numeric_std.TO_INTEGER(zz);

Для типов, констант и т.д. тоже самое.
Ну а самое праальное... не смешивать.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru