[an error occurred while processing this directive]
ну они signed/unsigned вроде как взаимоисключающиеся (там совпадают переопределения)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 21 ноября 2003 г. 11:55
В ответ на: Я не старался формировать свои рекомендации, скорее указать на общепринятые в мире VHDL отправлено Valeri 21 ноября 2003 г. 09:55

посмотрел на исходники (в Xilinx ISE, так как в остальных тулзах не нашел body нескомпилированное)
там правда тоже много foreign

но вроде только сравнения, сдвиги отличаются, все остальное совпадает (по науке умножители должны отличаться, но я не смотрел)

у меня как раз очень много знаковых вычислений, но я пользуюсь unsigned, а если какие-то специфические вещи - руками разбираю (имхо это проще, чем глюки потом ловить и приведением signed-unsigned пользоваться)

ну вообщем буду посматривать в сторону numeric_std, порты я всегда описывал std_logic_vector, так что проблем с "повторным использованием кода" быть не должно

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru