[an error occurred while processing this directive]
Пример
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 28 ноября 2003 г. 15:45
В ответ на: а можно примерчик port map'a без объявления компонента и с использованием WORK ... отправлено vladx 28 ноября 2003 г. 15:34

Пусть в бибилотеке (любой доступной, не только work) есть компонент SomeComponent. Тогда декларацию компонента млжно не делать, а маппинг делается так:

mapSomeComponent: entity SomeLibrary.SomeComponent(SomeComponentArch)
generic map(...)
port map(...);

Если архитектурное тело одно, то его можно не указывать:
mapSomeComponent: entity SomeLibrary.SomeComponent
generic map(...)
port map(...);

Конкретно для work
mapSomeComponent: entity work.SomeComponent
generic map(...)
port map(...);

Естетственно, все это не прокатывает для black box. Тут без декларации не обойдешься.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru