[an error occurred while processing this directive]
Угу... пути другого наверное нет...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Авсётаки 01 декабря 2003 г. 15:19
В ответ на: в таком деле каждый сам себе думает, но я бы переписал как раз для того отправлено yes 01 декабря 2003 г. 14:32

кстати, я нашел модель альтеровского логического элемента. Но она для симуляции. Можно конечно попробовать переписать её для синтеза.. но вот стоит ли -- хрен его знает.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru