[an error occurred while processing this directive]
VHDL+Spartan2 BlockRAM+synplify (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено lutik 18 декабря 2003 г. 17:16

пишу такое:

type TDataBuf is array (0 to ADC_CH_COUNT*ADC_COUNT*width+log2(ADC_COUNT*ADC_CH_COUNT*width)) of std_logic;
signal DataBufA : TDataBuf;
signal DataBufB : TDataBuf;
attribute syn_ramstyle : string;
attribute syn_ramstyle of DataBufA : signal is "block_ram";
attribute syn_ramstyle of DataBufB : signal is "block_ram";
--(хочу что бы память размещалась в выделеных блоках)
.
.
.
process(clk)begin
if Rise(clk) then
if S1 = '1' then
DataBufA(InAddr) <= sample;
end if;
end if;
end process;
process(clk)begin
if Rise(clk) then
if S2 = '1' then
DataBufB(InAddr) <= sample;
end if;
end if;
end process;

после синтеза synplify7.2 вижу - банан.. т.е нету тама никакого размещения в BlockRAM - все триггера мне заселил ентой памятью.

подскажите в чем грабли

заранее спасибо

PS.
Rise - функция из личной библиотеки и к делу отношения не имеет

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru