[an error occurred while processing this directive]
Spartan&VHDL - глючащая прога
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено veselchakU 13 января 2004 г. 12:38

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity adapt is
Port (
resetex: in STD_LOGIC;
ior : in STD_LOGIC;
iow : in STD_LOGIC;
iochrdy : out STD_LOGIC;
sel3 : in STD_LOGIC;
w: out STD_LOGIC;
oe1 : out STD_LOGIC;
Clk16m : in STD_LOGIC;
busadr: in STD_LOGIC_VECTOR(4 downto 0);
outcst1: out STD_LOGIC;
outcst0: out STD_LOGIC

);
end adapt;

architecture behavioral of adapt is

signal cur_state: STD_LOGIC_VECTOR (1 downto 0);

begin


p0:
process(Clk16m)
begin
if(resetex='0')then cur_state<="00";
elsif(Clk16m'event and Clk16m='0') then

if(cur_state="00")then
w<='1';
if((sel3='1')and(busadr(4 downto 2)=0))then
if((busadr(1 downto 0)=3))then
if(iow='0')then
cur_state<="11";
elsif(ior='0')then
cur_state<="10";
end if;
end if;

end if;
elsif(cur_state="01")then
w<='1';

else
if(((ior='0')or(iow='0'))and(sel3='1'))then

if(cur_state="11")then w<='0';
elsif(cur_state="10")then w<='1'; end if;

else
w<='1';
cur_state<="00";

end if;
end if;
end if;
end process;
oe1<=(ior and iow)or(cur_state(0)and(not cur_state(1)))or(not sel3);
outcst1<= cur_state(1);
outcst0<= cur_state(0);
iochrdy<='0';
end behavioral;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru