[an error occurred while processing this directive]
To dsmv: Ещё неразбериха ....
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Amid 05 февраля 2004 г. 20:57
В ответ на: Есть одна тонкость. отправлено dsmv 05 февраля 2004 г. 16:50

При обьявлении стандартного компонента RAMB4_S16 в "generic" я указываю INIT_00 : BIT_VECTOR := ..данные... Но при имплементации выдаётся такая штука

Checking expanded design ...
WARNING:NgdBuild:526 - On the RAMB4_S16 symbol "u1", the following properties are undefined: INIT_00, INIT_01, INIT_02, INIT_03, INIT_04, INIT_05, INIT_06, INIT_07, INIT_08, INIT_09, INIT_0A, INIT_0B, INIT_0C, INIT_0D, INIT_0E, INIT_0F. A default value of all zeroes will be used.

Почему он сказал, что по умолчанию забил все нулями?
Да и еще вопрос - Где использовать generic map( .. => ..) - если уже есть обычный generic (INIT_00 : BIT_VECTOR := ....) ?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru