[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 06 февраля 2004 г. 19:06
В ответ на: To dsmv: Ещё неразбериха .... отправлено Amid 05 февраля 2004 г. 20:57


Всё правильно. Через generic задаются параметры для моделирования.

А для того, что задать содержимое памяти для синтезатора надо указать атрибут:

attribute rom_style : string;
attribute init_00 of rom : label is ".....";

У меня есть две функции, которые формируют нужные константы. Могу выслать.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru