[an error occurred while processing this directive]
покажите полный текст "хаотического счетчика" - тогда может скажут, что синтезировалось и почему :)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 11 февраля 2004 г. 17:22
В ответ на: Дискуссия :) (+) отправлено Mit 11 февраля 2004 г. 16:25


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru