[an error occurred while processing this directive]
по поводу реализации двух фронтов и использования списка чувствительности
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено lutik 16 февраля 2004 г. 17:32
В ответ на: Вопрос по реализации алгоритмов на VHDL... (+) отправлено Mit 11 февраля 2004 г. 12:44

в списке чувствительности указываются сигналы запускающие процесс(активизирующие его). Внутри процесса ОБЯЗАТЕЛЬНО должно быть выражение определяющее состояние сигнала запускающего вашу логику. думать что любое изменение сигнала из списка чувствительности приведет к ПРАВИЛЬНОМУ исполнению кода, находящегося внутри процесса - преступно (отсюда метастабильность, неопределенные состояни и все прочие прелести неработоспособных схем включая и хаотические счетчики)

не один из извесных мне синтезаторов в полной мере не поддерживает стандарт языка, отсюда необходимость придерживатся особенностей используемого синтезатора (например ни симплифай не УЖ ТОЧНО квартус НЕ ПОДДЕРЖИВАЮТ жункций разрешения определяемых пользователем, только стандартные функции разрешения определенные в пакете
ieee.std_logic_1164)

все сказанное по поводу поддержки стандарта языка не относится к пакету ACTIVE HDL от альдека(эксперименты показывают что его компилятор абсолютно полно и корректно обрабатывает любую вашу писанину если она допускается стандартом), но это чистой воды компилятор т.е о синтезе и вообще создании железяк можете забыть.
мне кажется для ваших экспериментов альдек - самое то.


по поводу двух фронтов - вариант

process(clk)begin
if clk = '1' and clk'event then
-----code
elsif clk = '0' and clk'event then
-----code
end if;
end process;

как вам уже присоветовали - самое оно

удачи

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru