[an error occurred while processing this directive]
В проекте у меня так все и описано, но (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vladx 17 февраля 2004 г. 14:53
В ответ на: Пример использования... отправлено UR 17 февраля 2004 г. 14:37

ошибки выдаются в фале buft16.vhd (сгенеренном с помощью core gen'a):

Library "XilinxCoreLib" not found.
Cannot find referenced entity declaration "buft16".
а скомпилить XilinxCoreLib не получается ...


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru