[an error occurred while processing this directive]
IMHO, часто и этого не нужно. Поставил к себе чужой кусок кода, протестировал по всем критериям, по полной - и ладушки.
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Po Hab Nik 27 февраля 2004 г. 18:11
В ответ на: Ответ: Ни VHDL ни Verilog не настолько абстрактны, чтобы нельзя было представить во что выльется схема на железе. Все зависит от skill'a инженера и качества синтезатора. отправлено Holy-Glory 25 февраля 2004 г. 18:48


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru