[an error occurred while processing this directive]
делитель частоты на VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 01 марта 2004 г. 12:52

мне надо из тактовой 20.48MHz получить ещё 2 частоты, 2,048MHz и 8KHz
может есть более рациональные методы ?
я делаю так:
process(CLK)
variable CNT:integer range 0 to 5;
variable CNT2:integer range 0 to 1280;
variable tmp :STD_LOGIC := '0';
variable tmp2 :STD_LOGIC := '0';
begin
if (rising_edge(CLK)) then
CNT:=CNT+1;
CNT2:=CNT2+1;
end if;

if CNT > 4 then CNT:=0; tmp:= not tmp;
end if;

if CNT2 > 1279 then CNT2:=0; tmp2:= not tmp2;
end if;

CLK_2048 <= tmp;
CLK_8 <= tmp2;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru