[an error occurred while processing this directive]
Это Вы намудрили.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 01 марта 2004 г. 13:44
В ответ на: делитель частоты на VHDL отправлено Bug 01 марта 2004 г. 12:52

2.048 можно получить на SRL, зашив туда необходимый меандр (1 Lut).
А 8 КГц - из 2.048 поделив ее на 256.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru