[an error occurred while processing this directive]
Не корректно описан счетчик.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 09 марта 2004 г. 13:02
В ответ на: есть некий код на VHDL для генерации сигнала на основе имеющихся 2х других, A-HDL симулирует нормально, а вот после синтеза в Leonardo, MAXplus не хочет нормально симулировать. отправлено Bug 09 марта 2004 г. 11:55

Он весь должен быть описан "под CLK".
process(clk2)
variable cnt : std_logic_vector (3 downto 0);
begin
if rising_edge(CLK2) then
FSR <= CLK_8 and not(cnt(3));
if CLK_8 = '0' then
cnt := (others => '0');
elsif cnt(3) = '0' then
cnt := cnt + 1;
end if;
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru