[an error occurred while processing this directive]
или я чего не догоняю, или всётаки вот так нельзя делать cnt := cnt + 1; или может какую библиотеку я не подключил ? вобщем я описал вот так и всё заработало ->
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 09 марта 2004 г. 15:39
В ответ на: Не корректно описан счетчик. отправлено V61 09 марта 2004 г. 13:02

process(CLK_2, CLK_8)
variable cnt: integer range 0 to 10;
begin

if(rising_edge(CLK_2)) then
if(CLK_8='0') then cnt:=0; FSR<='0';
else
cnt:= cnt+1;

if(cnt=10) then cnt:=9;
end if;

if(cnt<9) then FSR <= '1';
else FSR<='0';
end if;

end if;
end if;

end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru