[an error occurred while processing this directive]
да я и не переживаю... :-) Но за поддержку все равно спасибо!
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено kos 15 марта 2004 г. 11:11
В ответ на: Спакуха. И VHDL освоишь и Verilog. ("и" а не "или"). отправлено zlyh 15 марта 2004 г. 11:08


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru