[an error occurred while processing this directive]
Как реализовать двухмерный битовый массив на Verilog,в компиляторах Max+ или Quartus?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено alto 25 марта 2004 г. 12:25

Мне требуется сделать перестановки в двухмерной матрице.
Хотелось бы это сделать, используя вложенные циклы For,
но max+ вообще отказался декларировать массив, а в Quartus
получилось продекларировать массив байт, но не получается
сделать индексированное обращение к одному биту в элементе
этого массива. Двухмерный битовый массив задекларировать
не получилось.

пример1:
reg [7:0]d[7:0]; // декларация байтового массива
// . . . тело программы
d[0][0]=1; // попытка обращение к 0 биту 0 элемента
// не проходит

пример2:
reg d[7:0][7:0]; // попытка декларация двухмерного
// битового массива не проходит


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru