[an error occurred while processing this directive]
так не хочет..........., зато вот так .......... пошло
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 26 апреля 2004 г. 12:57
В ответ на: Элементарно, Ватсон :-) отправлено andrew_b 26 апреля 2004 г. 12:19

вот так не захотело компилировать, ругаеться.
constant ROM : array (0 to 1) of std_logic_vector(7 downto 0) := (x"F5",x"00");

а сделал вот так, сразу пошло...
type tROM is array (0 to 1) of std_logic_vector(7 downto 0);
constant ROM : tROM := (x"F5",x"00");

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru