[an error occurred while processing this directive]
Что может быть проще? Пишем на VHDL и смотрим, как это синтезирует Synplify. Или такой простой путь чем-то не устраивает?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Valeri 02 июня 2004 г. 08:56
В ответ на: Может кто знает такое устройство + отправлено matel 28 мая 2004 г. 02:57


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru