[an error occurred while processing this directive] [an error occurred while processing this directive]
Для VitA.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Навзничь 10 июля 2001 г. 20:27

У Вас, кажется, был интерес к реализации арифметических операций.
Вот литература. На английском.

Computer Arithmetic
Earl E. Swartzlander
IEEE Computer Society Press Tutorial

Два тома статей про арифметику.

Vol I: ADD, SUB, MULT, Elementary Functions, Floating Point

Vol II: VLSI Implementation

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru