[an error occurred while processing this directive] [an error occurred while processing this directive]
Ia imel vvidu primitivi na HDL (dlia ASIC/FPGA), t.e. na urovne ventiley i triggerov.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 03 июня 2001 г. 14:52
В ответ на: Ответ: Несмотря ни на что... (+) отправлено Навзничь 03 июня 2001 г. 13:44


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru