[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 03 июня 2001 г. 15:50
В ответ на: Я понимаю Ваши нужды (+) отправлено Навзничь 03 июня 2001 г. 15:10

Prichina moego interesa k etoi teme vot kakaia -
Uje let 7 zanimaius' cifrovoi tehnikoi, ispol'zuia raznogo roda
sintezatori (LS, FPGA Express, Synplify...). Specifika moih proektov
v intensivnom ispol'zovanii arifmetiki. Stidno priznat'sia, no
s trudom predstavliaiu sebe kak na dele rabotaiut arifmeticheskie
moduli. Vot etot obrazovatel'nii probel i hotelos' bi vospolnit'.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru