[an error occurred while processing this directive] [an error occurred while processing this directive]
Или я не понял вопрос, или...Но не вижу тут проблемы, однако(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 26 июля 2001 г. 13:10
В ответ на: Как в Verilog правильно описывать цепи с тремя состояниями, т.е. когда цепь управляется несколькими источниками? отправлено Обучающийся Верилогу 24 июля 2001 г. 18:18

Компилируется на MAX+II 10.0


module test1 (a, switch, out);
input a,switch;
output out;

assign out = (switch)? a: 1'bz;

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru